Welcome![Sign In][Sign Up]
Location:
Search - mips pipeline

Search list

[OS programdlx

Description: mips pipeline 模以程序,mfc实现的,功能就不用说了把,大家都知道的-MIPS pipeline to die procedures mfc achieve, and functions not have had to put, we all know the
Platform: | Size: 24654 | Author: 吴动 | Hits:

[VHDL-FPGA-Verilogpipe

Description: verilog编写的流水线模块-Verilog modules prepared by the Pipeline
Platform: | Size: 5120 | Author: 刘陆陆 | Hits:

[OS programdlx

Description: mips pipeline 模以程序,mfc实现的,功能就不用说了把,大家都知道的-MIPS pipeline to die procedures mfc achieve, and functions not have had to put, we all know the
Platform: | Size: 24576 | Author: 吴动 | Hits:

[ARM-PowerPC-ColdFire-MIPSPipeline模拟

Description: 计算机体系结构中关于通用5级流水线的模拟实现程序-computer architecture on the common five Pipeline Simulation procedures
Platform: | Size: 421888 | Author: 欧未然 | Hits:

[Software Engineeringloongson

Description: 龙芯2E处理器用户手册 中国科学院计算技术研究所 意法半导体公司 2006年 9 月 龙芯2E处理器是一款实现64位MIPS III 指令集的通用RISC处理器。龙芯2E的指 令流水线每个时钟周期取四条指令进行译码,并且动态地发射到五个全流水的功能部件 中。虽然指令在保证依赖关系的前提下进行乱序执行,但是指令的提交还是按照程序原 来的顺序,以保证精确中断和访存顺序执行。 -Godson 2E processor user manual CAS Institute of Computing Technology agreed that the semiconductor companies in 2006 9 Godson 2 on E processor is one realization of 64 MIPS Instruction Set III generic RISC processor. Godson 2 E. pipelined instructions every clock cycle from four decoding instructions, Dynamic and fired five full pipeline of functional components. Although the directive in ensuring dependence carried out under the premise of Out-of-order execution, However, the directive is to follow the procedures of the original order to ensure accurate and interrupted his visit to the implementation of the order deposit.
Platform: | Size: 1141760 | Author: BQT | Hits:

[Software EngineeringGodson1

Description: 龙芯一号的数据手册! 通用32 位微处理器,支持MIPS-III 指令 主频为200~266MHZ 基于操作队列复用的高效7 级标量流水线 高效的64 位浮点流水单元 浮点性能220 MFLOP @250MHz 内置MMU、TLB 实现从程序虚拟地址到CPU物理地址的转换-Godson manual data on the 1st! Definitive 32 microprocessor, support MIPS-III Directive megabyte of 200-266MHZ operation based cohort efficient reuse of seven scalar Pipeline efficient 64-bit floating-point pipeline unit 220 MFLOP floating point performance @ 250MHz embedded MMU. TLB realization procedures virtual address to the CPU physical address translation
Platform: | Size: 313344 | Author: lsj | Hits:

[ARM-PowerPC-ColdFire-MIPSMIPS

Description: mips处理器指令仿真器,可查看流水线执行方式-mips instruction processor emulator, you can review the pipeline implementation
Platform: | Size: 991232 | Author: 魏继增 | Hits:

[ARM-PowerPC-ColdFire-MIPSMIPS

Description: 带分支预测的MIPS流水线的verilog原代码。 详细介绍了流水线的设计代码-Branch prediction with the MIPS pipeline verilog source code. Details of pipeline design code
Platform: | Size: 17408 | Author: 张鹤 | Hits:

[ARM-PowerPC-ColdFire-MIPSpipeline

Description: 用Quartus II 设计的3级流水CPU,指令采用二次重叠执行方式-Quartus II design with three-stage pipeline CPU, instruction execution overlaps with the second time
Platform: | Size: 3028992 | Author: kevin | Hits:

[OS programMIPS

Description: MIPS-lite Simulator 流水线模拟器实现-MIPS-lite Simulator pipeline simulator to achieve
Platform: | Size: 5787648 | Author: wang | Hits:

[Embeded-SCM Developmips

Description: 在maxplus上实现了一个5级流水线的mips cpu,含cache-In maxplus to achieve a 5-stage pipeline of the mips cpu, with cache
Platform: | Size: 449536 | Author: tong tong | Hits:

[VHDL-FPGA-VerilogCPU

Description: 32位5级流水线CPU设计指令系统、指令格式、寻址方式、寄存器结构、数据表示方式、存储器系统、运算器、控制器和流水线结构等-32bit pipeline CPU
Platform: | Size: 187392 | Author: znl | Hits:

[OtherPipelineCPU

Description: Quartus II 7.2环境中,采用硬件描述语言VHDL独立完成了基于MIPS指令集的32位RISC处理器的逻辑设计-quartusII mips pipeline 32bit cpu design
Platform: | Size: 847872 | Author: znl | Hits:

[VHDL-FPGA-Verilogvhdl-pipeline-mips_latest.tar

Description: pipeline mips in vhdl
Platform: | Size: 1137664 | Author: aliakbar | Hits:

[VHDL-FPGA-VerilogPipeLine.tar

Description: Verilog实现MIPS五段流水线,22条指令(基本算术、移位和load、store指令),模块化设计,含注释-Verilog realization of five-stage pipeline MIPS 22 instructions (basic arithmetic, shift, and load, store instructions), modular design, with annotations
Platform: | Size: 2929664 | Author: czl | Hits:

[VHDL-FPGA-Verilogmips

Description: mips pipeline code.. copyright material for fr-mips pipeline code.. copyright material for free
Platform: | Size: 8192 | Author: puneet | Hits:

[VHDL-FPGA-Verilogpipelined-mips-cpu

Description: 用verilog语言描述了MIPS的5级流水线。-Language described by verilog MIPS 5-stage pipeline.
Platform: | Size: 171008 | Author: jack chen | Hits:

[VHDL-FPGA-Verilogcpu

Description: 5 stage pipeline CPU, verilog HDL code-5 stage pipeline CPU
Platform: | Size: 2048 | Author: dylan | Hits:

[VHDL-FPGA-VerilogPipelined-MIPS

Description: MIPS架构5级流水线设计,支持常用的整数指令。-5-stage pipeline MIPS architecture designed to support common integer instructions.
Platform: | Size: 183296 | Author: txh | Hits:

[Software EngineeringScordbord-Mips-Pipeline

Description: this a scorbording mips hazards and multiple functional units with different latency -this is a scorbording mips hazards and multiple functional units with different latency
Platform: | Size: 193536 | Author: falah | Hits:
« 12 3 4 »

CodeBus www.codebus.net